CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - fpga adc0809

搜索资源列表

  1. FPGA_27eg

    1下载:
  2. FPGA很有价值的27实例.rar 包括 LED控制VHDL程序与仿真 2004.8修改.doc; LED控制VHDL程序与仿真; LCD控制VHDL程序与仿真 2004.8修改; LCD控制VHDL程序与仿真; ADC0809 VHDL控制程序; TLC5510 VHDL控制程序; DAC0832 接口电路程序; TLC7524接口电路程序; URAT VHDL程序与仿真; ASK调制与解调VHDL程序及仿真; FSK调制与解调VHDL程序及仿真
  3. 所属分类:文件操作

    • 发布日期:2008-10-13
    • 文件大小:1279333
    • 提供者:
  1. fpgacaiji

    0下载:
  2. 自己课程设计写的程序,用FPGA控制ADC0809的转换时序来完成模/数转换,然后将转换完的数字信号传递给0832-write their own curriculum design process, Connection between ADC 0809 FPGA control the timing to complete the conversion analog / digital conversion, End then converting the digital signal to
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3668
    • 提供者:xuman
  1. expt82_adcint

    0下载:
  2. 基于fpga和sopc的用VHDL语言编写的EDA的ADC0809采样控制电路
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:12566
    • 提供者:多幅撒
  1. 50vvoltmeter

    0下载:
  2. 通过外部的键盘可以对系统进行复位控制和显示档位选择,不同的档位选择不同的输入电压范围(0~5、5~50)。 (1)控制部分:采用FPGA为控制核心 (2)AD转换部分:采用逐次逼近(比较)型AD转换器ADC0809; (3)显示部分:采用液晶LCD显示
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:138719
    • 提供者:丁珊珊
  1. ADCINT

    0下载:
  2. 用EP1C系列FPGA控制ADC0809的程序
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:67853
    • 提供者:theredstone
  1. muxfile

    0下载:
  2. 基于FPGA设计ADC0809采样控制器原代码-FPGA-based design ADC0809 Sampling Controller source
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2012-12-25
    • 文件大小:50267
    • 提供者:王寒
  1. ADC0809VHDL

    0下载:
  2. 文件名:ADC0809.vhd功能:基于VHDL语言,实现对ADC0809简单控制说明:ADC0809没有内部时钟,需外接10KHz~1290Hz的时钟号,这里由FPGA的系统时钟(50MHz)经256分频得到clk1(195KHz)作为ADC0809转换工作时钟。-File name: ADC0809.vhd features: Based on the VHDL language, easy to control implementation of the ADC0809 Descr ipt
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1155
    • 提供者:王远东
  1. AD_ctrl

    1下载:
  2. 用VHDL编程实现的基于FPGA的adc0809和ad1674的控制模块,做数据采集的朋友可以看一下。-VHDL Programming with FPGA-based control adc0809 and ad1674 modules, data acquisition so friends can see.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1764
    • 提供者:jia
  1. ADC0809VHDL

    0下载:
  2. 8.4 ADC0809 VHDL控制程序 见随书所附光盘中文件:ADC0809VHDL程序与仿真。 --文件名:ADC0809.vhd --功能:基于VHDL语言,实现对ADC0809简单控制 --说明:ADC0809没有内部时钟,需外接10KHz~1290Hz的时钟信号,这里由FPGA的系 --统时钟(50MHz)经256分频得到clk1(195KHz)作为ADC0809转换工作时钟。 --最后修改日期:2004.3.20 -8.4 ADC0809 VHDL con
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:3915
    • 提供者:wangnan
  1. adcontro

    0下载:
  2. 此程序为FPGA对adc0809的控制以及数据采集程序,谢谢大家指教- This procedure for the FPGA on the adc0809 control and data acquisition procedures, Thank you for your advice
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:906
    • 提供者:徐礼静
  1. shujucaiji

    0下载:
  2. 用一片FPGA、模数转换器ADC0809和数模转换器DAC0832构成一个数据采集系统,并用FPGA实现数据采集中对ADC、增量调制运算、DAC以及有关数据显示的控制-Using an FPGA, ADC and DAC DAC0832 ADC0809 form a data acquisition system and data acquisition with the FPGA on ADC, delta modulation operation, DAC, and control of t
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-29
    • 文件大小:23686
    • 提供者:唐向军
  1. FPGA

    0下载:
  2. ADC0809 VHDL 控制程序的具体内容-adc0809 VHDL Control the specific content of the program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:414685
    • 提供者:傅强
  1. verilogadc0809

    0下载:
  2. verilog adc0809控制器FPGA实现,编译通过,系统时钟分频,满足ADC时钟要求。-verilog adc0809 controller FPGA, compiler, system clock frequency to meet the requirements of ADC clock.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:344330
    • 提供者:luo
  1. ADC

    0下载:
  2. AD转换是现在工业中应用十分广泛的一种技术,它可以实现模拟量向数字量的转换,fpga这一快速的器件将有利于数据的处理。-adc0809 vhdl fpga
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:36787
    • 提供者:
  1. 8.4-ADC0809-VHDL-control

    0下载:
  2. FPGA关于ADC0809 的VHDL控制程序-VHDL control program on ADC0809
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4227
    • 提供者:ll
  1. ADC0809-control-module-code-of-VHDL

    0下载:
  2. 此为基于FPGA的直流电动机伺服系统的设计,具体为ADC0809控制模块的VHDL代码-This is based on FPGA for dc servo system of the design, concrete for ADC0809 control module code of VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:9802
    • 提供者:黄平
  1. 8.4-ADC0809-

    0下载:
  2. 基于VHDL语言,实现对ADC0809简单控制,ADC0809没有内部时钟,需外接10KHz~1290Hz的时钟信号,这里由FPGA的系 --统时钟(50MHz)经256分频得到clk1(195KHz)作为ADC0809转换工作时钟。 -Based on VHDL ADC0809 simple control, ADC0809 no internal clock, an external clock signal of 10KHz ~ 1290Hz here by the Departm
  3. 所属分类:MPI

    • 发布日期:2017-12-05
    • 文件大小:4156
    • 提供者:jack
  1. adc0809

    0下载:
  2. 这是一个使用vhdl语言编写的fpga程序,它能够把模拟的电压信号通过数码管显示出来。-This is a use of language vhdl fpga program it to analog voltage signal by the digital display.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-04
    • 文件大小:391580
    • 提供者:yubaoming
  1. ADC0809

    0下载:
  2. 次VHDL代码实现FPGA与ADC0809的通信,通过ADC0809的模数转换功能转换为数字信号进入FPGA处理-Times FPGA VHDL code to communicate with the ADC0809, ADC0809 analog-to-digital conversion by function into the digital signal processing into the FPGA
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-15
    • 文件大小:6907
    • 提供者:1990
  1. EP10_ADC0809_VHDL

    0下载:
  2. CycloneII I EP3C10E144 FPGA ADC0809 驱动例程-CycloneII I EP3C10E144 FPGA ADC0809 driver routines
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:39761
    • 提供者:dwh
« 1 23 »
搜珍网 www.dssz.com